理论教育 实体和结构体的区别

实体和结构体的区别

时间:2023-06-24 理论教育 版权反馈
【摘要】:将实体与结构体同一块集成电路芯片作类比,实体描述的是这块芯片的外部特征,即此芯片有哪些引脚,这些引脚有怎样的属性;结构体描述的是此芯片内部的电路。结构体说明包括常数说明、数据类型说明、信号说明、子程序说明以及例化元件说明等。3位二进制加1计数器。

实体和结构体的区别

将实体与结构体同一块集成电路芯片作类比,实体描述的是这块芯片的外部特征,即此芯片有哪些引脚(实体中称为端口),这些引脚有怎样的属性;结构体描述的是此芯片内部的电路。

1.实 体

(1)实体语句:

entity 实体名 is

[generic(类属表);]

[port(端口表);]

end entity 实体名;

用户定义的实体名由关键字entity引导,MAX+plusⅡ要求实体名必须与VHDL源程序文件名保持一致。

(2)类属说明语句:

generic(常数名:数据类型[:设定值];

{常数名:数据类型[:设定值]});

类属表由关键字generic引导,表中规定端口的大小、实体中元件的数目和实体的定时参数等。类属不同于常数,常数只能从实体内部赋值且不能改变,而类属值可以由设计实体外部提供。设计者可以通过类属参数的重新定义而改变一个设计实体或一个元件的内部电路结构和规模。

(3)端口说明语句:

port(端口名:端口模式 数据类型;(www.daowen.com)

{端口名:端口模式 数据类型});

端口模式有4种定义:in(输入型)、out(输出型)、inout(输入输出型)和buffer(缓冲型)。buffer型相当于端口前有一个反馈缓冲器,当需要输入数据时,它可以将要输出的数据又反馈回实体内部的某节点。而inout只允许数据流入或流出实体。

2.结构体

(1)结构体语句:

architectrue 结构体名 of 实体名 is

[说明语句]

begin

[功能描述语句]

end architectrue 结构体名;

(2)结构体说明语句:对结构体的功能描述语句中涉及的信号、数据、常数、元件、函数和过程等加以说明。结构体说明包括常数说明、数据类型说明、信号说明、子程序说明以及例化元件说明等。

(3)功能描述语句:对结构体的内部结构作行为描述、结构描述和数据流描述。功能描述语句包括进程语句、信号赋值语句、子程序调用语句、元件例化语句和块语句等。

【例8.1.1】 3位二进制加1计数器(“--”双减号是VHDL程序的专用注释符)。

免责声明:以上内容源自网络,版权归原作者所有,如有侵犯您的原创版权请告知,我们将尽快删除相关内容。

我要反馈